Please ensure Javascript is enabled for purposes of website accessibility
Small-Cap Confidential
Undiscovered stocks that can make you rich

February 3, 2022

With the bulls and bears continuing to fight it out in the growth arena, we’re moving into a more cyclical industry with today’s addition.

The company is a leading maker of semiconductor manufacturing equipment. This industry is growing rapidly as the current innovation wave requires smaller, faster and more durable chips.

Making those chips at scale can only be done with specialized measurement and process control equipment. Which is exactly what this company specializes in.

Enjoy!

Company Overview

The Big Idea
In the second week of January, one of the world’s biggest semiconductor manufacturers – Taiwan Semiconductor (TSM) – announced it will boost its 2022 capital expenditure budget by 10%. That means $40 - $44 billion dollars pouring into equipment to help the giant make leading-edge semiconductors and packaging.

Given steady demand and persistent supply chain constraints for chips, this wasn’t a huge surprise. But still, TSM’s guidance illustrates just how strong the trend is for the semiconductor industry. After growing its capital budget by roughly 75% in 2021, TSM is tacking on another 35% to 40% this year.

Why?

As the world moves through another innovation wave there is intense demand for semiconductors, a key building block of next-gen technologies such as 5G network infrastructure, artificial intelligence (AI), machine learnings (ML), Augmented Reality (AR), electric vehicles (EVs), internet of things (IoT) applications, cloud computing, data centers, gaming and more.

CSCC_NVMI_Demand

To enable these technologies, semiconductor manufacturers are pushing hard to develop and make solutions that are smaller, faster, more powerful, more resilient to environmental factors, easier to procure, and able to be produced at scale and at reasonable prices. They’re also using new materials (example: silicon instead of quartz) and creating new structures (example: 3D structures).

This creates a very strong backdrop for the companies that supply semiconductor capital equipment to the chip manufacturers. These are the KLA Corp (KLAC) and Applied Materials (AMAT) of the world. They make all sorts of equipment, including process control and metrology equipment.

This equipment helps semiconductor manufacturers produce chips to exacting specifications. It monitors every single step of the manufacturing process, allowing for critical parameters to be measured and, ultimately, ensures that chips are suitable to go into end products and not the garbage bin.

Among capital equipment providers there are a few small players. Today’s portfolio addition is one of these companies.

It specializes in metrology (measurement) and process control solutions. With exposure to the leading edge of the semiconductor market it is poised for continued growth over the next 12 to 18 months.

New Recommendation and Updates

The Company
Nova LTD (NVMI) is a leading provider of dimensional and materials metrology solutions for process control used in semiconductor manufacturing.

The company offers a portfolio of high-precision metrology tools (hardware) and related services and software that help integrated circuit (IC) manufacturers manage yield through the semi fabrication process.

Nova’s products are used by the world’s largest IC manufacturers. By using Nova’s innovative X-ray, Optical CD and Raman Spectroscopy solutions, in concert with cutting edge physical and machine learning modeling, customers can improve performance, enhance product yield, and speed up time to market.

These performance indicators are critical in a fast-moving industry where customers are constantly transitioning from one complex technology node to the next. They turn to Nova not only to help with current challenges but also for insights into where the industry is going next.

CSCC_NVMI_iphone

The company generates 30% of revenue from the memory segment of the industry and 70% from the logic segment. Two-thirds of revenue is from leading nodes (i.e., the newer, more complex technologies) while 34% comes from trailing nodes. Nova is geographically diversified. However, given the dynamics of the industry (few, large players) around 70% of revenue comes from the five largest customers.

CSCC_NVMI_diversification

Nova was founded in 1993, is based in Israel and has a market cap of $3.4 billion. It has over 4,000 active systems installed across 200 customer sites.

A Crash Course in Semiconductor Manufacturing
The process of making semiconductors begins with a flat silicon disc (silicon wafer) upon which integrated circuits (ICs) are built. To make the ICs, successive layers of thin films are applied. These layers act as conductors, semiconductors or insulators.

Many processes are applied to these layers throughout manufacturing to remove parts of the film, create circuit patterns and more. Examples of key process steps include Deposition (layering of insulating and conducting materials), Photolithography (use of light to produce microscopic patterns of desired circuitry), Etch (selective material removal) and CMP, also known as Chemical Mechanical Planarization (polishing and/or planing to flatten a wafer).

In all cases, precision is the name of the game. Nova’s metrology and process control solutions help manufacturers throughout all these processes.

CSCC_NVMI_portfolio

Platform & Products
Nova’s product portfolio includes a complete set of metrology platforms for dimensional, films and material metrology measurements for process control across lithography, Etch, CMP and deposition. Its product lines span multiple technologies that address process control challenges from R&D to high-volume manufacturing.

Here a few notes on product line:

Optical CD Integrated Metrology (IM): Nova leads the market for integrated metrology platforms and offers multiple generations of products. Integrated metrology platforms permit advanced process control (APC) to monitor and control wafer-to-wafer variations of complex CMP and Etch applications. The IM product line is led by the i5X0 family of solutions.

CSCC_NVMI_DMetr

Optical CD Stand-Alone Metrology: Nova’s stand-alone metrology equipment is used “in line” next to the processing equipment that measures wafer samples. This equipment characterizes critical dimensions (width, shape, profile) and is used in multiple areas of the fabrication process (deposition, photolithography, etch and CMP). Nova PRISM is the most advanced stand-alone platform.

CSCC_NVMI_StandAlone

Modeling and Software Solutions: All of Nova’s hardware is sold with software modeling solutions, which combine advanced algorithms in the fields of AI and ML. Software suites include Nova MARS physical and geometrical modeling and Nova FIT data-driven ML modeling solutions. Both are supported by Nova HPC, a computational management layer. This solution is also the foundation for Nova’s Centralized Fleet Management and Control.

Materials Stand-Alone Metrology: Nova’s METRION, ELIPSON and VERAFLEX product families of in-line materials metrology solutions use X-Ray and Raman technologies to help customers accurately detect and quantify variations in film composition and thickness. This leads to better device functionality and better manufacturing yield. With materials representing the next frontier in IC design this is an increasingly important area of the business.

Growth Initiatives
M&A: Nova has a strong track record of acquiring complementary process control assets that expand its addressable market. The most recent acquisition is Ancosys, a metrology leader that expands Nova’s addressable market by $300 million. Ancosys brings chemical metrology solutions for both the front-end and back-end portion of the manufacturing process, as well as advanced packaging solutions. This purchase speeds up Nova’s trajectory toward $500 million in annual revenue. Ancosys has an operations center in Europe so there is some geographic diversification benefit as well.

New Clean Room to Expand Capacity: Nova is building a new clean room facility to support the company’s most advanced platforms. This facility is expected to become operational in 2022 and is located in Israel. Additional capacity expansion projects are underway to help keep up with demand.

Pursuing New Markets: In addition to the addition of Ancosys (chemical metrology) Nova’s unique X-Ray metrology capabilities and other new product launches position the company for strong growth relative to peers over the coming years.

The Business Model
Nova is a provider of capital equipment to the semiconductor industry. Optical CD products are made in Israel while X-ray products are made in California. Sales and marketing are based mostly on direct sales channels. Customers are located across Asia, Europe and North America and come from the logic, foundry and memory sectors of the IC manufacturing industry.

The Bottom Line
In 2020 Nova grew revenue by 20% to $269 million. Adjusted EPS was up 30% to $2.06. In Q3 2021 revenue of $112.7 million was up 62% (9% ahead of consensus estimates). Adjusted EPS grew by 104% to $1.16.

At the end of Q3 management gave Q4 guidance for $113 - $123 million in revenue and $0.94 - $1.12 in adjusted EPS. Current consensus estimates have settled near the middle of that range, implying 55% revenue growth ($118.3 million) and adjusted EPS growth of 55% ($1.05).

For the full year, 2021 consensus estimates stand at revenue growth of 52% ($410 million) and adjusted EPS growth of 85% ($3.81).

Looking forward into 2022 analysts are currently looking for revenue growth of 17% ($480 million) and adjusted EPS growth of 14% ($4.35).

Risk
Industry Concentration: With a relatively small number of large semi manufacturers, Nova has significant exposure to just a few customers. Growing (or shrinking) capex budgets from a few customers could have an outsized impact on Nova’s revenue.

Cyclical Industry: The semiconductor industry tends to be quite cyclical.

Competitive Threats in New Markets: Nova is trying to grow in the new X-Ray metrology market. It could bump into resistance from larger players (like KLA).

Capacity constrained: Like others in the industry, Nova has been capacity constrained despite growing capacity by 60% in 2021. Continued strong execution is needed to continue to mitigate this risk.

Supply Challenges: Nova faces similar supply challenges – such as high logistics and raw materials costs, supplier shortages (i.e., chips for controller systems) – as others in the industry. These challenges will likely persist through 2022.

Competition
Nova competes with numerous large and small players. Its best-known large competitor is probably KLA (KLAC), though there is some overlap with Applied Materials (AMAT) and Camtek (CAMT) as well.

CSCC_NVMI_Industry

The Stock
Trading Volume: NVMI trades an average of 204,000 shares daily (roughly $23 million worth). High-volume days are +400,000 shares, of which there have been five since November 1.

Historical Price: NVMI came public in 2000 so has been through many types of markets. Prior to the pandemic, the stock was doing well as revenue was accelerating out of a weak 2019. Shares took a hit during the 2020 market crash (-40%) but broke out to new highs above 42 in May 2020. By the end of 2020, NVMI was in an extended uptrend that didn’t stop until the stock reached 100 in April 2021. There were a couple of dips into the 80s, but through October 2021 NVMI spent most of its time chopping around in the 90 to 104 area. Following the Q3 report on November 4, NVMI enjoyed a two-week rally that carried the stock to 142. After a brief 15% pullback, shares peaked at 149 on January 5. Since then, NVMI has pulled back by roughly 22%. The stock has spent the last week and a half trading just above the 200-day moving average line, in the 105 – 122 zone.

Valuation: NVMI trades with a PE multiple of 27 based on 2022 estimated EPS of $4.35. That EPS estimate should come up during the year. Peers are trading with multiples of 16 – 50. NVMI is flush with cash (roughly $6.50 per share) and has some of the best margins in the industry. Assuming continued execution there should be multiple expansion potential here on rising EPS estimates, both of which could drive upside in the stock.

Buy Range: In the near term, buy between 96 and 125. On the downside we’ll keep an eye on support near 90 (roughly 20% below current price).

The Next Event: Management will report Q4 2021 results before the market opens on February 24.

CSCC_NVMI_GeneralInfo

CSCC_NVMI_Financials

CSCC_NVMI_Chart

Updates on Current Recommendations

Stock NameDate BoughtPrice BoughtPrice on 2/2/22ProfitRating
Arena Pharmaceuticals (ARNA)2/2/183993139%Hold Half
Avalara (AVLR)2/1/1940105162%Buy
CS Disco (LAW)9/2/215733-42%Buy
Everbridge (EVBG)12/2/161652235%Hold
Inspire Medical (INSP)10/4/1959220276%Buy
JOANN (JOAN)8/6/211511-29%Buy
Nova LTD (NVMI)New118Buy
Rani Therapeutics (RANI)10/7/21172017%Buy
Repligen (RGEN)11/2/18 and 12/31/1859204245%Hold
Revolve Group, Inc. (RVLV)4/1/21465112%Hold
Shutterstock (SSTK)11/4/2112194-22%Buy
SiTime Corporation (SITM)12/2/21296235-21%Hold
Sprout Social (SPT)9/3/20366784%Hold 3/4
Thunderbird Entertainment
(THBRF, TBRD.V)
5/6/213.83-22%Buy
Xometry (XMTR)1/6/225343-18%Buy

Please email me at tyler@cabotwealth.com with any questions or comments about any of our stocks, or anything else on your mind.

Glossary
Buy
means accumulate shares at or around the current price.
Hold means just that; hold what you have. Don’t buy, or sell, shares.
Sell means the original reasons for buying the stock no longer apply, and I recommend exiting the position.
Sell a Half means it’s time to take partial profits. Sell half (or whatever portion feels right to you) to lock in a gain, and hold on to the rest until another ratings change is issued.

Disclosure: Tyler Laundon owns shares in one or more of the stocks mentioned. He will only buy shares after he has shared his recommendation with Cabot Small-Cap Confidential members and will follow his rating guidelines.


The next Cabot Small-Cap Confidential issue is scheduled for March 3, 2022.